欢迎来到得力文库 - 分享文档赚钱的网站! | 帮助中心 好文档才是您的得力助手!
得力文库 - 分享文档赚钱的网站
全部分类
  • 研究报告>
  • 管理文献>
  • 标准材料>
  • 技术资料>
  • 教育专区>
  • 应用文书>
  • 生活休闲>
  • 考试试题>
  • pptx模板>
  • 工商注册>
  • 期刊短文>
  • 图片设计>
  • ImageVerifierCode 换一换

    最新ASIC系统设计实验报告-交通灯控制器.doc

    • 资源ID:34634103       资源大小:283KB        全文页数:23页
    • 资源格式: DOC        下载积分:15金币
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录   QQ登录  
    二维码
    微信扫一扫登录
    下载资源需要15金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    最新ASIC系统设计实验报告-交通灯控制器.doc

    Four short words sum up what has lifted most successful individuals above the crowd: a little bit more.-author-dateASIC系统设计实验报告-交通灯控制器ASIC系统设计实验报告-交通灯控制器交通灯控制器的设计汪明 2080130204 信号与信息处理设计一个十字路口的交通灯控制系统,用于主干道与乡间公路的交叉路口。要求优先保证主干道的畅通,因此平时处于“主绿乡红”状态。控制系统通过一传感器来感知乡路上的行车情况,当感知到乡路上有行车时,如果此时主干道的运行时间超过一分钟,则进入“主黄乡红”的状态,四秒后即进入“主红乡绿”的状态,当传感器一直检测到车辆时,乡路运行的最长时间为20秒,这是,按照主干道运行1分钟、乡路运行20秒的时间规定来设定交通灯的状态。当传感器没有感知到车辆,则乡绿状态随即变为“乡黄主红”状态,运行四秒后,进入“主绿乡红”状态。按照这种规则,设计出合理的系统结构,利用XILINX ISE软件对设计的系统进行编程实现。1 交通灯控制器系统结构设计1.1 系统功能要求该交通灯系统为一个十字路口交通管理信号灯,用于主干道与乡间公路的交叉路口,要求是优先保证主干道的畅通,因此平时处于“主干道绿灯,乡间道红灯”状态,只有在乡间公路有车辆要穿行主干道时才将交通灯切向“主干道红灯,乡间道绿灯”,一旦乡间公路无车辆通过路口,交通灯又回到“主绿,乡红”的状态。此外,主干道每次通行的时间不得短于1分钟,乡间公路每次通行时间不得长于20秒。而在两个状态交换过程中出现的“主黄,乡红”和“主红,乡黄”状态,持续时间都为4秒。交通灯的状态转换规律如图1示。图1 交通灯控制器状态转换规律在实验的过程中,要求系统能够按照正确的状态给出各灯的控制信号,不需要设计放大电路。通过6个LED灯来表示主干道和乡路上的6盏灯,在任一时刻,主干道和乡道中必须有一盏灯为亮的状态。通过分析交通灯控制器的状态变化以及变化所需要的条件,画出交通灯控制器的状态转换图,通过有限状态机的设计方法来进行系统的设计。基于这些要求,完成系统的设计,通过XINLINX ISE软件将所设计的系统下载到目标板XC3S200FT256上,测试结果的正确性。1.2 系统结构设计根据上述要求,对系统的结构进行设计。从要求中可以看出,系统应包含分频器、计数器、交通灯控制器、时间显示模块和片选模块几个部分。目标板T9引脚所提供的时钟为50MHZ,所以先对系统时钟进行分频;交通灯控制信号按照一定的规则改变各交通灯的状态,并将相应信息传递给计数模块,计数模块则开始计时,并将计时的结果反馈给控制模块和时间显示模块,对交通灯控制模块和时间显示模块产生影响。总的系统方案如图2示。在本实验中,我们只需完成交通灯控制模块的设计,安排好其余模块与控制模块之间的接口,便可根据输入信号来进行交通灯状态的变换。图2 系统方框图2 交通灯控制器的VHDL程序设计经过上面的分析,可设计出系统方案,构造出了系统的方框图。根据方框图,可以将系统划分为分频器模块、交通灯控制模块、计数器模块、片选模块、时间显示模块和顶层设计几个部分。下面将主要针对交通灯控制模块进行设计,完成VHDL程序的编写和仿真。从模块划分中可看出,交通灯控制模块为系统的核心部分。在这里,我们将采用有限状态机的设计方法对这一模块进行设计。从交通灯的状态转换规律图1可看出,改系统总共有四种状态,可认为定义为MGCR、MYCR、MRCG和MRCY。传感器信号S、4秒计时信号CLK4S、20秒计时信号CLK20S、1分钟计时信号CLK1M的变化将会使交通灯的状态发生变化,跟据状态转换规律,可画出交通灯的状态转换图,如图3示。图3 交通灯控制模块状态转换图对状态转换图进行分析可知,系统为一有限状态机,并且根据题目的要求,系统的初始状态应为主绿乡红(MGCR),在设计系统的时候,应加入系统时钟信号CLK、复位信号RST,以保证在系统程序出错或者跑飞的情况下能够迅速复位。而且在于其他模块的接口约定上,约定所有的计时信号为1时,表明处在计时当中,而计时信号出现下降沿时,表明计时时间到。根据这些特点,编写的VHDL程序如下示:-library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;-交通灯控制模块-引脚设定entity control isport(clk:in std_logic; -系统时钟:displayclk(10KHZ) rst:in std_logic; -复位信号:对应按钮BTN0 S1:in std_logic; -控制信号:对应开关SW7 clk4s:in std_logic; -4 秒计时:处于计时阶段,信号为1,否则为0 clk20s:in std_logic;-20秒计时:处于计时阶段,信号为1,否则为0 clk1m:in std_logic; -1 分计时:处于计时阶段,信号为1,否则为0 MainR,MainY,MainG,CouR,CouY,CouG:out std_logic -分别对应发光二极管 );end control;-行为部分:采用状态机设计的方法,为有限状态机architecture Behavioral of control istype tra_state is(MGCR,MYCR,MRCG,MRCY); -状态定义signal pre_state,nx_state:tra_state;beginrst_state:process(clk,rst)beginif(rst='1') thenpre_state<=MGCR;-初始状态为 主绿乡红 elsif(clk' event and clk='1') then -上升沿到来时,当前状态转为下一状态pre_state<=nx_state;end if;end process;statechange:process(pre_state,S1,clk4s,clk20s,clk1m)begincase(pre_state)iswhen MGCR=> -状态主绿乡红下对各信号的反应MainR<='0'MainY<='0'MainG<='1'CouR<='1'CouY<='0'CouG<='0'if(s1='0') then -乡路没有车,保持该状态nx_state<=MGCR; elsif(clk1m='1') then -乡路上有车,但主路运行不超过1分钟nx_state<=MGCR;else -乡路上有车,主路运行超过一分钟nx_state<=MYCR;end if;when MYCR=> -主黄乡红状态,此时CLK4s在计时MainR<='0'MainY<='1'MainG<='0'CouR<='1'CouY<='0'CouG<='0'if(clk4s='1') then-4S计时状态中nx_state<=MYCR;else -4S计时到nx_state<=MRCG;end if;when MRCG=> -主红乡绿MainR<='1'MainY<='0'MainG<='0'CouR<='0'CouY<='0'CouG<='1'if(s1='0')thennx_state<=MRCY;elsif(clk20s='1')thennx_state<=MRCG;elsenx_state<=MRCY;end if;when MRCY=> -主红乡黄MainR<='1'MainY<='0'MainG<='0'CouR<='0'CouY<='1'CouG<='0'if(clk4s='1') thennx_state<=MRCY;elsenx_state<=MGCR;end if;when others=>nx_state<=MGCR;end case;end process;end Behavioral;-3 系统仿真与实现完成系统的VHDL程序设计后,对上面的程序进行综合,没有语法错误后,便可设计合理的波形进行仿真了,该系统的输入比较多,设计的仿真波形图如图4示。然后通过调用软件MODELSIM软件进行仿真,得到的仿真结果如图5示。图4 交通灯控制模块仿真波形设计图5 交通灯控制模块的仿真波形从图5中可看出,对于不同的输入情况,交通灯控制器能够对应正确的状态,而且在输入发生变化时,状态之间能按照系统的要求正确的在状态之间进行变换。这表明,这一模块的设计正确,完成了控制系统所提出的要求。对于整个控制系统来讲,改模块只是其中的一个模块,故应将设计好的模块添加进元件库,以便后续的使用。至此,设计好了控制模块,通过XILINX ISE软件可以看出模块的顶层封装图如图6示,内部电路构造图如图7示。图6 交通灯控制模块封装图图7 交通灯控制器的内部电路原理图4 总结通过对交通灯系统的设计,加深了对VHDL语法的理解,掌握了有限状态机的设计方法。交通灯控制系统总共有四个状态,根据输入的不同变化来改变交通灯的各种状态,进而实现交通灯控制与管理的功能。通过这次设计,使得我对系统有了更深层次的理解,对设计一个系统的流程有了一定的认识,也加快了设计的进程。-

    注意事项

    本文(最新ASIC系统设计实验报告-交通灯控制器.doc)为本站会员(豆****)主动上传,得力文库 - 分享文档赚钱的网站仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知得力文库 - 分享文档赚钱的网站(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于得利文库 - 版权申诉 - 用户使用规则 - 积分规则 - 联系我们

    本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

    工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

    © 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

    黑龙江省互联网违法和不良信息举报
    举报电话:0468-3380021 邮箱:hgswwxb@163.com  

    收起
    展开